HW/SW design-based implementation of vector median rational hybrid filter

Anis Boudabous*, Ahmed Ben Atitallah, Lazhar Khriji, Patrice Kadionik, Nouri Masmoudi

*المؤلف المقابل لهذا العمل

نتاج البحث: المساهمة في مجلةArticleمراجعة النظراء

7 اقتباسات (Scopus)

ملخص

A new code sign implementation of vector median rational hybrid filter based on efficient hardware/software implementation is introduced and applied to colour image filtering problems. This filter is used essentially to remove impulsive and Gaussian noise in colour images. In our design we start by implementing the software solution in system on programmable chip context using NIOS-II softcore processor and μClinux as operating system. We evaluate the execution time of the whole filtering process. Than we add a hardware accelerator part. This latter is implemented using fast parallel architecture. Compared to the software solution results, the use of the hardware accelerator improves clearly the filtering speed and maintains the good filtering quality as shown by simulations.

اللغة الأصليةEnglish
الصفحات (من إلى)70-74
عدد الصفحات5
دوريةInternational Arab Journal of Information Technology
مستوى الصوت7
رقم الإصدار1
حالة النشرPublished - يناير 2010

ASJC Scopus subject areas

  • ???subjectarea.asjc.1700.1700???

بصمة

أدرس بدقة موضوعات البحث “HW/SW design-based implementation of vector median rational hybrid filter'. فهما يشكلان معًا بصمة فريدة.

قم بذكر هذا